TSMC unveils innovative technologies at 2021 online Technology Symposium

E210603Y5 Jul. 2021(E260)
 TSMC held the 2021 Technology Symposium on June 2, 2021, at which TSMC unveiled its latest innovations of advanced logic technology, specialty technologies, 3DFabric™ advanced packaging, and chip stacking technologies.  For two consecutive years, TSMC has held the Technology Symposium online to share its latest technological developments with its customers, which include N6RF for next-generation 5G smartphone and WiFi 6/6e performance, N5A for state-of-the-art automotive applications, and enhancements across the range of 3DFabric technologies.

 Moreover, TSMC plans to begin the risk production for its 4 nm (N4) process during the third quarter of 2021, and its N3 technology is set for volume production in the second half of 2022.  Also, TSMC presents its N5A process, the newest member of the 5nm family, which is aimed at the growing demand for AI-enabled driver assistance and digitization of vehicle cockpits.  (Released 2021.06.03)

TIPLO ECARD Fireshot Video TIPLOBrochure_English TIPLO News Channel TIPLO TOUR 7th FIoor TIPLO TOUR 15th FIoor